Commit History

Autor SHA1 Mensaxe Data
  John Hurliman 3036aba875 * Added a better check to the SimianGrid connectors to test if they are enabled or not. This method should work equally well with standalone or robust mode %!s(int64=14) %!d(string=hai) anos
  Revolution 9821c4f566 Revolution is on the roll again! :) %!s(int64=14) %!d(string=hai) anos
  Jeff Ames 70d5b1c34c Formatting cleanup. Add copyright headers. %!s(int64=14) %!d(string=hai) anos
  Melanie e530180c1e Glue code for a couple of new LSL function implementations %!s(int64=14) %!d(string=hai) anos
  John Hurliman d199767e69 Experimental change of PhysicsVector to Vector3. Untested %!s(int64=15) %!d(string=hai) anos
  Dr Scofield 1d02636c27 cleaning out warnings. %!s(int64=15) %!d(string=hai) anos
  Teravus Ovares 10f5615573 * Tweak the character controller some more %!s(int64=15) %!d(string=hai) anos
  Teravus Ovares 4050dec682 * Changes the timstep of the bullet world %!s(int64=15) %!d(string=hai) anos
  Teravus Ovares 11f8ea30f9 * Tweak the BulletDotNETPlugin character controller so it feels more finished. %!s(int64=15) %!d(string=hai) anos
  Jeff Ames 465f1df592 Add copyright headers, formatting cleanup. %!s(int64=15) %!d(string=hai) anos
  Teravus Ovares cf1e8b1723 * Fixing SVN properties %!s(int64=15) %!d(string=hai) anos
  Teravus Ovares e592d03843 * Committing what I have on the BulletDotNETPlugin that I have so far. %!s(int64=15) %!d(string=hai) anos